Lập trình C cho vi điều khiển

MỤC LỤC

Chương 1 : Ôn lại vềngôn ngữC theo chuẩn ANSI

1.1. Cấu trúc cơbản của một chương trình C 1

1.2. Các yếu tốcơbản của ngôn ngữC – ANSI .2

1.2.1 Bộchữviết .2

1.2.2 Từkhoá .2

1.2.3 Tên .3

2.1.4 Một sốkiểu dữliệu cơbản .3

2.3 Biểu thức và Các phép toán .8

2.3.1 Phép toán sốhọc hai ngôi .8

2.3.2.Phép quan hệvà logic 9

2.3.3. Sựchuyển đổi kiểu 9

2.3.4 Phép tăng giảm 10

2.3.5 Câu lệnh gán 10

2.3.6. Biểu thức điều kiện .11

2.4 Các toán tử điều khiển chương trình .11

2.4.1 Cấu trúc điều khiển if .11

2.4.1.2 Cấu trúc rẽnhánh if dạng khuyết . 11

2.4.1.2. Cấu trúc rẽnhánh if dạng dầy đủ 11

2.4.2 Cấu trúc điều khiển switch .11

2.4.3 Cấu trúc lặp while 12

2.4.4 Cấu trúc lặp do.while 12

2.4.5 Cấu trúc lặp for 12

2.5 Hàm, lập trình hướng hàm .13

2.5.1 Cách xây dựng một hàm .13

2.5.2 Sựhoạt động của một hàm .13

2.5.2.1 Biến mảng động 14

2.5.2.2 Biến mảng ngoài .14

2.5.2.3 Biến mảng tĩnh .14

Chương 2: Ôn lại vềvi điều khiển AT89C51

2.1. Sơ đồchân tín hiệu của 80C51/AT89C51 . 15

2.2. Sơ đồkhối .16

2.3. Các thanh ghi chức năng đặc biệt. .17

2.4. Khối tạo thời gian và bộ đếm (Timer/Counter). 24

2.5. Bộnhớchương trình và bộnhớdữliệu nội trú . 27

2.6. Nguyên lý truyền tin nối tiếp của AT89C51 30

2.5.6.3. Các tốc độBaud .34

2.5.6.4. Sửdụng Timer 1 đểtạo ra các tốc độBaud .35

2.7. Cơchếngắt trong On-chip AT89C51 36

2.8 Kết nối cơbản của vi điều khiển 8051 40

Chương 3 C cho vi điều khiển 8051

3.1 Keil C cho vi điều khiển .41

3.1.1 Những kiểu dữliệu riêng của C51 41

3.1.2 Hàm với phần định nghĩa mởrộng 43

3.2 Project 1 Led đơn .45

3.2.1 Mạch và nguyên ly hoạt động 45

3.2.2 Lập trình .47

3.3 Project 2 dãy 8 Led đơn 48

3.3.1 Nguyên lí hoạt động .48

3.3.2 Lập trình .48

3.3.3 Ðiều khiển ra cảcổng .51

3.4 Project 3 điều khiển Led 7 thanh .54

3.4.1 Hình dạng và cấu tạo 54

3.4.2 Nguyên lí hoạt động .55

3.4.3 Lập trình .55

3.5 Phím nhấn .62

3.5.1 Ðếm sốlần phím bấm giới hạn từ0 đến 9 hiển thịra led 7 thanh. .62

3.5.1.1Nguyên lí hoạt động: 63

3.5.1.2 Lập trình .63

3.5.2 Ðọc ma trận phím .65

3.5.2.1 Nguyên lí quét phím: .65

3.5.2.2 Lập trình .66

3.6 Ghép nối với LCD .68

3.6.1 Nguyên lý hoạt động của LCD .69

3.6.2 Mã (Hex) Lệnh đến thanh ghi của LCD .69

3.6.3 Lập trình .70

3.7 Điều khiển động cơDC .74

3.7.1 Mạch nguyên ly.74

3.7.2 Lập trình .75

3.8 Ghép nối Matrix Led .79

3.8.1 Sơ đồcấu tạo .79

3.8.2 Sơ đồkết nối Matrix Led 8x8 .79

3.8.3 Nguyên lí hoạt động .80

3.8.4 Lập trình .81

pdf87 trang | Chuyên mục: Vi Xử Lý – Vi Điều Khiển | Chia sẻ: dkS00TYs | Lượt xem: 2534 | Lượt tải: 2download
Tóm tắt nội dung Lập trình C cho vi điều khiển, để xem tài liệu hoàn chỉnh bạn click vào nút "TẢI VỀ" ở trên
 phan tram xung thi đua gia tri 1 ra //chan, xung 5V 
else P3_5=0;// Neu dem = phan tram xung 
 79
3.8 Ghép nối Matrix Led 
- Dạng Led: 
3.8.1 Sơ đồ cấu tạo: 
3.8.2 Sơ đồ kết nối Matrix Led 8x8: 
 80
Nhiệm vụ: 
 Ðiều khiển Led ma trận 8x8. Hiển thị dòng chữ chạy “MTC”. 
3.8.3 Nguyên lí hoạt động: 
Muốn cho led sáng, cấp điện dương 5V vào hàng, 5V vào cột, dòng 10mA dến 15 mA. 
Ví dụ: muốn đèn led ở vị trí 5x4 sáng, ta đưa điện áp cột 4(P2_3) lên 5V, điện áp hàng 
5(P2_5) lên 5V. Hiển thị chữ: thống kê các điểm sáng thành chữ rồi cho các hàng cột 
điện áp tương ứng. Có thể dùng công cụ debug để lấy giá trị cổng tương ứng với các 
 81
led sáng. Giống như quét bàn phím, đưa điện áp 0V ra từng cột nối với cổng 0. Như 
vậy sẽ có 8 giá trị: 0xFE, 0xFD, 0xFB, 0xF7, 0xEF, 0xDF, 0xBF, 0x7F phải đưa vào 
1 mảng 8 phần tử, rồi sau đó đưa vào 1 vòng for tăng dần 1 biến để tăng phần tử mảng 
cột[8]. Với mỗi lần 1 chân cổng 0 lên 5V ta dùng cổng 2 đưa ra 1 giá trị 8 bít để điều 
khiển trong 1cột những đèn nào sáng. Ví dụ muốn hàng 1 và hàng 3 sáng thì hàng 1 và 
3 có giá tr ị 5V còn các hàng khác 0V, ta được giá trị 8 bít sau: 0x05 ( 1010 000). Tại 
mỗi thời điểm chỉ có một số đèn trên 1 cột sáng, nhưng do ta quét 8 cột với tần số 
nhanh, vì mắt có hiện tuợng lưu ảnh nên ta thấy trong 1 thời điểm ta thấy toàn bộ kí tự. 
Với 8 cột lần luợt bằng 5V ta phải đưa ra tương ứng 8 giá trị 8 bit ra cổng 2, do đó ta 
fải lưu 8 giá trị đó vào 1 mảng 8 kí tự_ kytu1[8], ta sẽ viết các ký tự trên 7 cột. Ðể mỗi 
kí tự sẽ cách nhau 1 cột không sáng. Ta khai báo mảng kytu1[9] có 9 phần tử và phần 
tử đầu tiên có giá trị đẩy ra cổng 2 là 0xff để tắt toàn bộ cột đó. 
Quá trình điều khiển hiển thị như sau: Cột 1, hàng 1, cột 2 hàng 2, …, cột 8 , hàng 8. 
Ðể làm chữ chạy: Thêm 1 biến vào để điều khiển thứ tự hiển thị hàng. Hiển 1 chữ 
trên led như trên đã đưa ra: Cột 1, hàng 1, cột 2 hàng 2, …, cột 8 , hàng 8. Muốn chữ 
đó dịch chuyển sang trái ta hiển thị như sau: Cột 1, hàng 2, cột 2 hàng 3, …, cột 7, 
hàng 8,cột 8 , hàng 1 ký tự sau. Cột 1, hàng 3, cột 2 hàng 4, …, cột 7 hàng 1 ký tự 
sau,cột 8 , hàng 2 ký tự sau. 
3.8.4 Lập trình 
#include /* Cot tu P2.0 den P2.7 Hang tu P0.0 den P0.7 De quet dua 
muc logic 5v lan luot ra cong P2 */ 
/* Ham tre */ 
void delay(long time) { 
long n; 
for(n=0; n<time; n++) { 
 ; } 
 } 
unsigned char kytu1[9];// Mang 9 phan tu chua gia tri cac hang day ra cong 2 unsigned 
char k=0;// Bien xac dinh cac ky tu 
 /* Ham nap gia tri hien thi cac ky tu vao mang kytu1 co 8 gia tri dua ra va 1 gia tri 
khong bat den nao de cac ky tu cach nhau 1 cot */ 
 82
void mahoa(unsigned char x) { 
switch(x) { 
 // Dau trang 
 case 0: { 
kytu1[0]=0x00; kytu1[1]=0x00; kytu1[2]=0x00; kytu1[3]=0x00; kytu1[4]=0x00; 
kytu1[5]=0x00; kytu1[6]=0x00; kytu1[7]=0x00; kytu1[8]=0x00; 
break; 
} // Chu M 
Case 1: { kytu1[0]=0x00; kytu1[1]=0xFF; kytu1[2]=0x02; kytu1[3]=0x04; 
kytu1[4]=0x08; kytu1[5]=0x04; kytu1[6]=0x02; kytu1[7]=0xFF; kytu1[8]=0x00; 
break; 
} // Chu T 
case 2: { 
kytu1[0]=0x00; kytu1[1]=0x01; kytu1[2]=0x01; kytu1[3]=0x01; kytu1[4]=0xFF; 
kytu1[5]=0x01; kytu1[6]=0x01; kytu1[7]=0x01; kytu1[8]=0x00; 
break; 
} // Chu C 
case 3: { 
kytu1[0]=0x00; kytu1[1]=0x7E; kytu1[2]=0x81; kytu1[3]=0x81; kytu1[4]=0x81; 
kytu1[5]=0x81; kytu1[6]=0x42; kytu1[7]=0x00; kytu1[8]=0x00; 
break; 
 } // Dau trang 
case 4: { 
kytu1[0]=0x00; kytu1[1]=0x00; kytu1[2]=0x00; kytu1[3]=0x00; kytu1[4]=0x00; 
kytu1[5]=0x00; kytu1[6]=0x00; kytu1[7]=0x00; kytu1[8]=0x00; 
break; 
} 
} 
} /* Ham quet led ma tran_ vua hien thi vua dich ky tu dan sang trai*/ 
void hienthi(void) { 
 unsigned char n,m,lap; 
unsigned char cot[8]={0x80,0x40,0x20,0x10,0x08,0x04,0x02,0x01}; 
 83
 // Cac phan tu quet cot 
for(m=0; m<8 ; m++)// Dich hien thi 
{ for(lap=0; lap<10; lap ++) // Lap hien thi 
 { for(n=0; n<8 ; n++)// Quet cot 
{ 
if((n+m)<9 )// Neu n+m < 9 hien thi ky tu 1 
{ 
 mahoa(k); // Nap cac gia tri ma hoa ky tu dua ra cac hang (Cong P0) 
P2=cot[n]; // Day gia tri 5V ra cong P2 (cac cot) 
 P0=kytu1[n+m];// Day cac gia tri cac hang (ma hoa ky tu) ra cong P0(cac hang) 
delay(45);// Tre du de led sang 
 } 
if((n+m) > 7)// Neu n+m >7 hien thi ky tu 2 { 
mahoa(k+1);// Nap gia tri ma hoa ky tu tiep de dua ra cac hang(Cong P0) 
 P2=cot[n];// Day gia tri logic 5V ra cong P2(cac cot) 
 P0=kytu1[n+m-8];// Day cac gia tri cac hang (ma hoa ky tu) ra cong P0(cac hang) 
delay(45);// Tre du de led sang 
 } 
P2=0x00;// Day cac cot xuong muc thap 
 P0=0x00;// Dua cac hang xuong thap de tat toan bo cac led. 
 } 
} 
} 
} 
void main(void) { 
while(1)// Vong lap vo han. 
 { 
 hienthi(); // Hien thi 2 ky tu dau tien dau trang va chu M 
k=k+1; // Tang k de hien thi chu M va chu T lan tiep 
if(k==4) k=0;// Quay vong hien thi 
} 
} 
 84
MỤC LỤC 
Chương 1 : Ôn lại về ngôn ngữ C theo chuẩn ANSI 
1.1. Cấu trúc cơ bản của một chương trình C …………………………………………1 
1.2. Các yếu tố cơ bản của ngôn ngữ C – ANSI ……………………………………….2 
1.2.1 Bộ chữ viết……………………………………………………………………….2 
1.2.2 Từ khoá ………………………………………………………………………….2 
1.2.3 Tên……………………………………………………………………………….3 
2.1.4 Một số kiểu dữ liệu cơ bản……………………………………………………….3 
2.3 Biểu thức và Các phép toán …………………………………………………….8 
2.3.1 Phép toán số học hai ngôi …………………………………………………….8 
2.3.2.Phép quan hệ và logic ……………………………………………………………9 
2.3.3. Sự chuyển đổi kiểu………………………………………………………………9 
2.3.4 Phép tăng giảm …………………………………………………………………10 
2.3.5 Câu lệnh gán ……………………………………………………………………10 
2.3.6. Biểu thức điều kiện……………………………………………………………..11 
2.4 Các toán tử điều khiển chương trình ……………………………………………..11 
2.4.1 Cấu trúc điều khiển if …………………………………………………………..11 
2.4.1.2 Cấu trúc rẽ nhánh if dạng khuyết……………………………………………. 11 
2.4.1.2. Cấu trúc rẽ nhánh if dạng dầy đủ ……………………………………………11 
2.4.2 Cấu trúc điều khiển switch …………………………………………………….11 
2.4.3 Cấu trúc lặp while ………………………………………………………………12 
2.4.4 Cấu trúc lặp do...while ………………………………………………………… 12 
2.4.5 Cấu trúc lặp for …………………………………………………………………12 
2.5 Hàm, lập trình hướng hàm ……………………………………………………….13 
2.5.1 Cách xây dựng một hàm ………………………………………………………..13 
2.5.2 Sự hoạt động của một hàm ……………………………………………………..13 
2.5.2.1 Biến mảng động ………………………………………………………………14 
2.5.2.2 Biến mảng ngoài ……………………………………………………………...14 
2.5.2.3 Biến mảng tĩnh ……………………………………………………………….14 
Chương 2: Ôn lại về vi điều khiển AT89C51 
2.1. Sơ đồ chân tín hiệu của 80C51/AT89C51……………………………………. 15 
 85
2.2. Sơ đồ khối ……………………………………………………………………..16 
2.3. Các thanh ghi chức năng đặc biệt. …………………………………………….17 
2.4. Khối tạo thời gian và bộ đếm (Timer/Counter). ………………………………24 
2.5. Bộ nhớ chương trình và bộ nhớ dữ liệu nội trú………………………………. 27 
2.6. Nguyên lý truyền tin nối tiếp của AT89C51………………………………… 30 
2.5.6.3. Các tốc độ Baud …………………………………………………………..34 
2.5.6.4. Sử dụng Timer 1 để tạo ra các tốc độ Baud ……………………………….35 
2.7. Cơ chế ngắt trong On-chip AT89C51 …………………………………………36 
2.8 Kết nối cơ bản của vi điều khiển 8051 …………………………………………40 
Chương 3 C cho vi điều khiển 8051 
3.1 Keil C cho vi điều khiển ……………………………………………………….41 
3.1.1 Những kiểu dữ liệu riêng của C51 ……………………………………………41 
3.1.2 Hàm với phần định nghĩa mở rộng…………………………………………… 43 
3.2 Project 1 Led đơn ……………………………………………………………….45 
3.2.1 Mạch và nguyên ly hoạt động………………………………………………… 45 
3.2.2 Lập trình ……………………………………………………………………...47 
3.3 Project 2 dãy 8 Led đơn …………………………………………………………48 
3.3.1 Nguyên lí hoạt động …………………………………………………………..48 
3.3.2 Lập trình ……………………………………………………………………..48 
3.3.3 Ðiều khiển ra cả cổng ………………………………………………………...51 
3.4 Project 3 điều khiển Led 7 thanh ……………………………………………….54 
3.4.1 Hình dạng và cấu tạo …………………………………………………………54 
3.4.2 Nguyên lí hoạt động ………………………………………………………….55 
3.4.3 Lập trình ……………………………………………………………………..55 
3.5 Phím nhấn ……………………………………………………………………..62 
3.5.1 Ðếm số lần phím bấm giới hạn từ 0 đến 9 hiển thị ra led 7 thanh. ………....62 
3.5.1.1Nguyên lí hoạt động: ………………………………………………………63 
3.5.1.2 Lập trình …………………………………………………………………...63 
3.5.2 Ðọc ma trận phím ................................................................................................65 
3.5.2.1 Nguyên lí quét phím: .......................................................................................65 
3.5.2.2 Lập trình ...........................................................................................................66 
3.6 Ghép nối với LCD .................................................................................................68 
 86
3.6.1 Nguyên lý hoạt động của LCD ...........................................................................69 
3.6.2 Mã (Hex) Lệnh đến thanh ghi của LCD ............................................................69 
3.6.3 Lập trình ..............................................................................................................70 
3.7 Điều khiển động cơ DC .........................................................................................74 
3.7.1 Mạch nguyên ly ..................................................................................................74 
3.7.2 Lập trình ..............................................................................................................75 
3.8 Ghép nối Matrix Led .............................................................................................79 
3.8.1 Sơ đồ cấu tạo ...................................................................................................79 
3.8.2 Sơ đồ kết nối Matrix Led 8x8 .........................................................................79 
3.8.3 Nguyên lí hoạt động ...........................................................................................80 
3.8.4 Lập trình .............................................................................................................81 
 87

File đính kèm:

  • pdfLập trình C cho vi điều khiển.pdf